solarbe文库
首页 solarbe文库 > 资源分类 > PDF文档下载

计算机控制课程设计

  • 资源大小:864.98KB        全文页数:26页
  • 资源格式: PDF        下载权限:游客/注册会员/VIP会员    下载费用:3金币 【人民币3元】
游客快捷下载 游客一键下载
会员登录下载
下载资源需要3金币 【人民币3元】

邮箱/手机:
温馨提示:
支付成功后,系统会根据您填写的邮箱或者手机号作为您下次登录的用户名和密码(如填写的是手机,那登陆用户名和密码就是手机号),方便下次登录下载和查询订单;
特别说明:
请自助下载,系统不会自动发送文件的哦;
支付方式: 微信支付    支付宝   
验证码:   换一换

 
友情提示
2、本站资源不支持迅雷下载,请使用浏览器直接下载(不支持QQ浏览器)
3、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰   
4、下载无积分?请看这里!
积分获取规则:
1充值vip,全站共享文档免费下;直达》》
2注册即送10积分;直达》》
3上传文档通过审核获取5积分,用户下载获取积分总额;直达》》
4邀请好友访问随机获取1-3积分;直达》》
5邀请好友注册随机获取3-5积分;直达》》
6每日打卡赠送1-10积分。直达》》

计算机控制课程设计

0 电气与电子信息工程学院计算机控制课程设计设计题目基于 C8051F120 的全数字单相逆变器专业班级 电气工程及其自动化 2007( 1)班学 号 200740220112 姓 名 赵鑫鑫指导教师 胡 蔷 皮大能设计时间 2010/11/22 ~ 2010/12/3 设计地点 K 2单片机实验室1 计算机控制 课程设计成绩评定表姓 名 赵鑫鑫 学号 200740220112 专业班级 电气工程及其自动化 2007 级( 1)班课程设计题目基于 C8051F120 的全数字单相逆变器课程设计答辩或质疑记录成绩评定依据1. 课程设计考勤情况( 20 )2. 课程设计答辩情况( 30 )3. 完成设计任务报告规范性( 50 )最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字2010 年 12 月 20 日2 课程设计任务书2010 ~ 2011 学年第 1 学期学生姓名 赵鑫鑫专业班级 电气工程及其自动化 2007( 1)班指导教师 皮大能 胡蔷工作部门 电气与电子信息工程学院 电气自动化教研室一、课程设计题目 计算机控制课程设计二、课程设计内容 (含技术指标)1.设计目的及要求通过本课程设计学生应掌握设计所用硬件电路的工作原理, 软件的使用方法。能较熟练地使用软件平台设计较复杂的计算机控制系统。1)根据设计课题的技术指标和给定条件,能独立而正确地进行方案论证和设计计算,要求概念清楚、方案合理、方法正确、步骤完整。2)要求掌握计算机控制系统的设计内容、方法和步骤。3)学会查阅有关参考资料和手册,并能正确选择有关元器件和参数。4)学会绘制有关计算机控制系统原理图和编制元器件明细表。5)学会编写设计说明书。6)通过对所设计的系统进行仿真实验,掌握系统仿真的方法。7)按设计指导书中要求的格式书写设计报告,所有的内容一律打印。8) 报告内容包括设计过程、 软件仿真结果及分析、 硬件仿真结果及分析。9)必须有整体电路原理图、各模块原理图。10)软件仿真包括各个模块的仿真和整体电路的仿真。2. 计算机控制课程设计项目设置与内容3 a. 通用微计算机控制系统的设计 ; b. PID 数字控制器的设计;c. 冰箱温度控制器的设计。d. 模糊控制器的设计e. 浓度流量控制器的设计f. 锅炉进水流量控制系统设计三、进度安排1.时间安排序号 内 容学时安排(天)1 接口设计项目 2 2 方案的选择论证 1 3 硬件、软件设计和仿真 4 4 撰写和打印设计报告 2 5 设计答辩 1 合 计 10 2.执行要求计算机控制系统设计的 8 题中选做一题, 要求独立完成, 并在答辩过程中检测。为了避免雷同,在设计中所采用的方案不能一样。四、课程设计考核办法与成绩评定根据过程、报告、答辩等确定设计成绩,成绩分优、良、中、及格、不及格五等。评定项目 基本内涵 分值设计过程 考勤、自行设计、按进度完成任务等情况 20 分设计报告 完成设计任务、报告规范性等情况 50 分答 辩 回答问题情况 30 分90~ 100 分优; 80~ 89 分良; 70~ 79 分中; 60~ 69 分,及格; 60 分以下不及格4 目录第一章绪论 . 51.课程设计的背景 52.课程设计的意义 63.本设计的具体方案 7第二章系统结构及控制原理 82.1.逆变电源主电路结构 . 82.2.控制电路框图 . 10第三章 SPWM 原理及实现方法 113.1. SPWMPulse Width Modulation脉宽调制 113.2.基于 C8051F120 的 SPWM 波形产生及软件编程 143.21 C8051F系列单片机 PCA 简介 . 143.22 SPWM 波形生成方法 153.24 程序流程 173.3 反馈控制方式及 PI 参数整定 193.4.系统整体软件 21第四章仿真与实验结果对比 22第五章结论 . 24参考文献 . 255 第一章绪论1.课程设计的背景随 着信息技术的发展, 逆变电源越来越广泛地应用于银行、 证券、军事,医疗、航空航天 等领域, 早期的逆变电源,只需要其输 出不断电稳压稳频即可 , 今天的逆变电源除这些要求外 , 还必须环保无污染, 即绿色环保逆变电源。 同时随着网络技术的发展 , 对逆变电源的网络功能也提出了更高的要求。高性能的逆变电源应该满足高的输入功率因数, 较低低的输出阻抗,快速的暂态响应,稳态精度高稳定性高,效率高, 可靠性高,电磁干扰小,智能化完善的网络功能。正弦脉宽调制 SPWM技术已在交流调速、直流输电、变频电源等领域得到广泛应用 , 为了提高整个系统的控制效果 , 高性能SPWM 脉冲形成技术一直是人们不断探索的课题。采用模拟电路和数字电路等硬件电路来产生 SPWM 波形是一种切实可行的方法 ,但是这种实现方法控制电路复杂、抗干扰能力差、实时调节较困难。模拟控制存在很多固有的缺点, 因为采用大量的分散元件和 电路板, 导致硬件成本较高, 系统的可靠性下降, 由于人工调试器件 的存在,如可调电位器 , 导致生产效率降低及控制系统的一致性差。器件老化和热漂移问题的存在,导致逆变电源的输出性能下降 , 甚至导致输失常。产品二次优化困难,对采用模拟控制的同种逆变电源,如果不改动硬件 , 要完成升级是不可能的 , 每一个新型模拟控制的逆6 变电源都要求重新设计和制造控制系统。 模拟控制的逆变电源的监控功能有限 , 一旦出现故障,要想恢 复正常则必须到现场检查维修。近年来 ,人们提出了由单片机、 DSP 等微控制器来实现 SPWM 波形的数字控制方法 , 由于微控制器内部集成了很多控制电路 , 比如定时器、电路、可编程计数器阵列等 , 所以使得这种实现 SPWM 的方法具有控制电路简单、运行速度快、控制精度高、抗干扰能力强等优点。数字化、 网络化已经成为信息社会的主流,伴随着高性能的控制器的出现, 逆变电源的全数字控制已成为现实。 能够实时地读取逆变电源的输出 , 并实时地计算出输出值 , 使得一些先进的控制算法应用于逆变电源的控制也成为可能。 对于构建逆变电源的大量非线性电子元件的负载状况, 可以针对非线性负载动态变化产生的谐波进行动态的补偿,从而使得输出谐波达到了可接受的水平。数字化是逆变电源发展的主要方向,但也存在着挑战 , 因为模拟控制中存在的许多问题有待于数字控制来解决。2.课程设计的意义逆变电源采用数字控制技术具有以下的明显优点1. 设 计方面传统的逆变电源设计零部件较多元器件易受损 , 增加维修和维护的工作量, 而采用单片机技术设计的逆变电源, 已完全数字化, 故零部件少、 精度高, 核心部件的维护和维修变得更为容易。7 2. 输 出电压的稳定性输出电压的稳定是所有逆变电源共同目标 , 在实际应用中,断电、停电所造成的危害比电压的不稳定要小 , 所以更高的用电稳定要求是供电安全的核心。3. 输 出电压波形失真度设备间因负载形式或负载变动产生的逆变电源输出失真在传统的逆变电源中体现明显 , 由此造成电源端的“ 二次污染 ” , 失真严重时还会使电压值下降、设备电源供应器负担增加、 功率损失且产品寿命减少。 采用单片机技术的逆变电源失真度可小于 3。4. 超 载输出能力传统的逆变电源 , 一般不能满载或超载运行,否则逆变电源的故障率会明显增多 , 且许多情况下不具备短路保护,断路切换等功能。 采用单片机技术的逆变电源适合各种形式负载包括启动时产生的超载情况。5. 体 积采用单片机技术的逆变电源在同等类型的产品中体积最小 , 重量最轻而且系统的一致性更好,制造方便且生产成本更低。3.本设计的具体方案本设计以 C8051F120 为控制核心,采用单极性 SPWM 技术,选取 IGBT 功率模块作为开关元件。 C8051F120 通过片内 PCA 模块产8 生 SPWM 控制波形, 通过片内 A/D 转换模块实现对输出电压的采样,经过电压单闭环 PI 控制,将反馈信号与给定基准电压进行比较得调节系数,输出带有死区的 SPWM 控制波形,经过隔离功率驱动模块放大,驱动单相逆变器开关元件输出电压。 实验结果证实了利用C8051F120实现 SPWM 波形的可行性和有效性第二章系统结构及控制原理2.1 .逆变电源主电路结构逆变电源的主电路一般采用 MOSFET、 IGBT等大功率晶体管。 在从整流器或蓄电池输入直流电源后利用功率模块的开关功能, 进行经过一系列的电压调制、控制、滤波等向负载符合要求 的交流波。它一般由 IGBT 或大功率的晶体管主电路、逆变输出变压器、交流滤波器和各种控制电路组成。 本文设计的单相正弦逆变电源可等效为下图的系统模型。。图 3-4 桥式变换器主电路图9 图中的 E为直流母线电压, T1-T4为 IGBT变流模块、电感 L来自输出变压器的漏感, C为输出滤波电容。 输出滤波电容与电感 L组成了二阶输出滤波器用以以滤除逆变输出 SPWM波中的高次谐波。其中 , 全桥逆变器、 LC滤波器和负载组成了逆变系统中的被控对象。整流桥对角的两个 IGBT作为一组, 每组同时接通或断开, 两组开关轮流工作,在一个周期中的短时间内,四个开关将处于断丌状态。四个开关导通(或关断)占空比值均相等。在给 T1,T4加触发脉冲, IGBT导通,电流流过 T1的漏极,经过输出滤波电路回到 T4的漏极。 当 T2,T4加触发脉冲时, 此时 T1,T4的触发脉冲消失, T2和 T3这两个 IGBT导通,但不能立即导通,先经过二极管续流,等电流下降到零时再开始导通。另外,这四个二极管还有限制过电压的作用。交流输入电压经过共模抑制环节后, 再经过工频变压器降压, 然后整流得到一个直流电压,此电压经过 Boost电路进行升压,在直流环上得到一个符合要求的直流电压 350V50Hz/ 220V交流输出时 。DC. AC变换电路采用全桥变换电路。为保证系统可靠运行,防止主电路对控制电路的干扰,采用主、控电路完全隔离的方法,即驱动信号用光耦隔离, 反馈信号用变压器隔离, 辅助电源用变压器隔离过流保护电路采用电流互感器作为电流检测器件,其具有足够的响应速度,能够 IGBT允许的过流时时间内将其关断。在单相正弦逆变电源中 ,逆变器要把市电经整流滤波后得到的直流电或者由蓄电池提供的直流电。 重新转化为频率非常稳定, 稳定电压10 受负载影响小的波形,畸变因数满足负载要求的交流正弦波。目前在单相正弦逆变电源的逆变器中普遍采用脉冲宽度调制( SPWM) 技术来实现将直流电重新变成交流电的目的。 脉宽调制技术可以极其有效地进行谐波抑制在频率、效率各方面有着明显的优点, 使逆变电路的技术性能与可靠性得到了明显的提高。 所谓脉宽调制技术 ,就是在周期不变的条件下利用改变脉冲宽度或用占空比表示甚至可以将脉冲波形斩切为若干段 , 以达到抑制谐波目的的一种方法。 采用脉宽调制技术方式构成的逆变器其输入为固定不变的直流电压 ,山于这种逆变器 ,只有一个可控的功率级 ,简化了主电路和控制回路的结构,因而体积小、重量轻、可靠性高。又因为集调压、调频于一身所以调节速度快、 系统的动态响应好。 此外采用脉宽调制技术不仅能够提供较好的逆变器的出电压和电流波形 ,而且提高了逆变器对交流电网的功率因数。2.2 .控制电路框图为了保证电源输出的稳定, 采样负载端电压的瞬时值构成电压单闭环反馈对系统进行实时的控制。控制电路的框图如图 3-5 所示。图 3-5 控制系统方框图11 反馈采样输出电压的瞬时信号 ,与软件提供的参考电压 Vr 比较,电压调节器采用比例积分调节。 数字 PI 算法完全通过 C8051F120 数字实现,与传统的模拟控制方式相比具有无温漂、干扰等问题第三章 SPWM 原理及实现方法3.1 . SPWMPulse Width Modulation 脉宽调制逆变器的脉宽调制技术 PWM( Pulse Width Modulation)是用一种参考波(通常是正弦波,有时也用梯形波或方波等)为“调制波”( modulating wave) ,而以 N 倍于调制波频率的正三角波(有时也用锯齿波)为“载波” ( carrier wave) 。由于正三角波或锯齿波的上下宽度是线性变化的波形,因此,它与调制波相交时,就可以得到一组幅值相等,而宽度正比于调制波函数值的矩形脉冲序列用来等效调制波。用开关量取代模拟量, 并通过对逆变器开关管的通断控制,把直流电变成交流电, 这一种技术就叫做脉宽调制技术。 当调制波为正弦波时, 输出矩形脉冲序列的脉冲宽度按照正弦函数规律变化, 这种调制技术通常又称为正弦波脉宽调制( Sinusoida PWM)技术。工程实际中应用较多的是就是正弦法。三角波调制法是目前逆变电源中应用较多的产生 SPWM 波的方式 , 它是建立在每一个特定时间间隔内能量等效于正弦波所包含的能量的概念上发展起来的一种脉宽调制方式, 图 3-1 表示三角波调制法的能量等效图。12 图 3-1 SPWM 波原理为了得到接近似于正弦波的脉宽调制波形, 我们可以将正弦波的一个周期在时间上划分为等分 NN 是偶数 ,每一等分的脉宽都是2PI*N。这样就可以分别计算出在各个时间间隔中所包含的面积。在每个特定的时间间隔中我们都可以用一个脉宽与其对应的正弦波所包含的面积相等或成比例。 但其脉冲幅度都等于的一个矩形脉冲来分别代替相应的正弦波部分。 这样的个宽度不等的脉冲就组成了一个与正弦波等效的脉宽调制波形。一般 SPWM 波形的产生有以下几种方法 自然采样法、 等效面积法、规则采样法、低次谐波消去法等。.1. 对称规则采样法对称规则采样法是从自然采样法演变而来的, 它由经过采样的正弦波(实际上是阶梯波)与三角波相交,由交点得出脉冲宽度。这种方法只在三角波的顶点或底点位置对正弦波采样而形成阶梯波。 对称规则采样法原理图如图 3-2 所示。13 若以单位量 1 代表三角载波的幅值 Uc,则正弦调制波的幅值Ur 就是调制比 a。图中的三角波和正弦波都是经过向上平移单位量1 得到的,与过横坐标轴得到的结果一致。 。利用底点采样,根据相似三角形原理,可得如下关系式1 式中 a 是调制比, 0≤ a 1 ,ω 为正弦信号波角频率 ,td 为在三角波的负峰对正弦信号波的采样时刻; δ 是 A 相开通时刻脉冲宽度; Tc为三角波载波周期。因此可得 A 相开通时刻的脉冲宽度δ Tc1asinω td/2 2 ω tdk3/42π /N ( k0, 1, 2, .N-1) 3 式中 N 为载波比, N / 2π 三角波周期 Tc 所对应的弧度, K 为一个周期内采样计数值。2. 不对称规则采样法不对称规则采样法采用在每个载波周期采样两次, 即在三角波的顶点位置采样, 又在三角波的底点位置采样, 这样形成的阶梯波与正图 3-1 生成 SPWM 波形的对称规则采样 图 3-2 生成 SPWM 波形的不对称规则采样14 弦波的逼近程度会大大提高。 不对称规则采样法生成 SPWM 的原理图如图 3-2 所示。根据相似三角形原理,可得如下关系式, 4 , 5 式中 ta 为在三角波的正峰值对正弦信号波的采样时刻, tb 为在三角波的负峰值对正弦信号波的采样时刻; δ δ ’是 A 相开通时刻脉冲宽度; Tc 为三角波载波周期。因此可得 A 相开通时刻的脉冲宽度ω tak1/4 2π /N ( k0, 1, 2, .N-1 ) 6 ω tak3/4 2π /N ( 2k 为一个周期内采样计数值) 7 本设计中采用了 C8051F120 作为主控芯片,为了算法实现的简便选择了对称规则采样法。3.2 .基于 C8051F120 的 SPWM 波形产生及软件编程3.21 C8051F 系列单片机 PCA 简介C8051F 系列单片机都具有一个可编程计数器阵列 PCA,以C8051F040为例, PCA包含 1 个专用的 16 位计数器 / 定时器和 6 个 16位捕捉 / 比较模块,可以输出 6 路 PWM波形。如图 2 所示, 16 位 PCA专用计数器 / 定时器的时基信号可有多种选择,可通过配置相关的系统控制器的特殊功能寄存器( SFR)来实现。每个捕捉 / 比较模块有自己的 I/O 线 CEXn,可通过配制交叉开关寄存器( XBR0)将每个模块15 的 I/O 线连接到端口 I/O ;每个模块都可配制为独立工作,有六种工作方式边沿触发捕捉、软件定时器、高速输出、频率输出、 8 位脉宽调制器和 16 位脉宽调制器。 PCA结构如下图。图 3-3 PAC 结构图3.22 SPWM 波形生成方法利用 C805F120的 PCA计数器产生 SPWM波形的基本原理是 在高速输出并且允许 CCF中断方式下, 不断在 CCF中断服务程序中将事先计算好的 SPWM波形的脉冲宽度累加到捕捉 / 比较模块寄存器 PCA0CPn(高 8 位 PCA0CPHn和低 8 位 PCA0CPLn)中,这样在捕捉 / 比较模块寄存器和计数器 / 定时器相匹配时就得到相应的 SPWM波形不断交替的高低电平。图 3-4 中, l0 、 h0, l1 、 h1,lmax 、 hmax 是由软件实16 时计算好的一路单极性 SPWM波形的高、低脉冲宽度,其中 l0 l0 'T/2。由于输出的是频率可变的 SPWM波形,既调制波频率可变,所以在不同的频率段设置有不同的载波比 N,从而 max的值只是相对固定的。图 3-4 SPWM波形示意图为了实现以上 SPWM波形产生方法,需要设置与 PCA工作有关的特殊功能寄存器。具体如下1 设置方式选择寄存器 PCA0MD。2 初始化捕捉 / 比较寄存器 PCA0CPHn(高字节)和 PCA0CPLn(低字节)。3 设置捕捉 / 比较模式寄存器 PCA0CPMn。4 初始化计数器 / 定时器 PCA0H(高字节)和 PCA0L(低字节)。5 设置控制寄存器 PCA0CN。最小脉冲问题分析 由于硬件原因,任何微控制器都不能输出宽度无限小的脉冲,这就使得理想 SPWM脉冲序列中小于一定宽度的窄脉冲不能正常输出,即最小脉冲问题。对于 C8051单片机, 它的 PCA所能输出的最小脉冲取决于其中断服务程序执行的时间, 所以其最小脉冲问题不容忽视。 解决该问题的具体方法有 ①采用汇编语言编写中断服务子程序并且应用最精简省17 时的指令,减小最小脉冲的宽度;②在计算 SPWM波脉冲宽度时,对宽度小于 PCA所能输出最小宽度的脉冲进行修正, 使它们的宽度为最小脉冲的宽度;③限制调制比 M,使 SPWM脉冲序列中不会含有过多的小脉冲。3.24 程序流程主程序流程如图 4 所示, 在系统初始化过后首先通过键盘设置输出频率,然后进入 SPWM脉宽计算程序,根据所设置频率选择调制比N,计算脉宽并确定 max。在 SPWM输出程序中,对 PCA特殊功能器进行设置并启动计数器运行,开始输出 SPWM波形。在中断服务程序中, 首先根据 CCFn的值来判断发生匹配的捕捉 /比较模块,然后根据该模块 CEX引脚上的电平状态判断是将 SPWM波形的高电平脉宽值还是低电平脉宽值累加到捕捉 / 比较模块寄存器上;同时,根据脉宽数据指针与 max是否相等来确定一个 SPWM周期的结束和下一个周期的开始, 以便正确载入对应数据。 当载波比 N发生变化时, max的值会发生变化。应该注意的一点是, CCFn位和 CF位(计数器 / 定时器溢出标志)由硬件置位, 但不能由硬件自动清 0, 必须在中断程序中用软件清 0。由于硬件原因, 任何微控制器都不能输出宽度无限小的脉冲, 这就使得理想 SPWM脉冲序列中小于一定宽度的窄脉冲不能正常输出,即最小脉冲问题。18 图 3-5 SPWM程序流程图对于 C8051单片机, 它的 PCA所能输出的最小脉冲取决于其中断服务程序执行的时间, 所以其最小脉冲问题不容忽视。 解决该问题的具体方法有 ①采用汇编语言编写中断服务子程序并且应用最精简省时的指令,减小最小脉冲的宽度;②在计算 SPWM波脉冲宽度时,对宽度小于 PCA所能输出最小宽度的脉冲进行修正, 使它们的宽度为最小脉冲的宽度;③限制调制比 M,使 SPWM脉冲序列中不会含有过多的小脉冲。19 在本设计中全部程序 C语言编写, 经过测试所能产生的最小脉冲宽度可为 2us, 能够满足系统的要求。3.3 反馈控制方式及 PI 参数整定1.反馈控制方式采样反馈闭环控制在单片机电压采样的 A/ D 反馈控制策略上, 如果每次采样后均对输出电压幅值进行调节, 会造成输出电压稳定性变差。 因为程序在运行时, 当采样后的反馈数据超过参考电压偏差允许范围时,就会根据这偏差去调节调制比 ma,然后根据新的调制比 ma 重新计算 SPWM 脉宽,最后先停止运行旧的 SPWM 脉宽数据,重新装载新的 SPWM 脉宽数据。在这个调节过程中, 就会使相邻输出周波在转换之间有很小的延迟,输出波形频率出现波动。为了改善这一状况,并保证输出电压的稳定和快速响应, 取多次采样反馈值后求其平均值, 利用平均值对输出电压幅值进行调节, 这同时可减少误调节现象的发生。 当平均值在参考电压允许范围内变化时,调制比 ma 不变,输出电压幅值不变;当平均值超出参考电压允许范围时,调节调制比 ma 来调节输出电压幅值。2. PI 参数整定通过调整 PID 的三个参数 Kp(比例系数)、(积分系数)、Td(微分系数),将系统的闭环特征根分布在 S 域的左半平面的某一特定域内, 以保证系统具有足够的稳定裕度并满足给定的性能指20 标。本系统采用 PI 调节,参数整定方法采用扩充临界比例法(齐格勒 尼柯尔斯法)。其整定步骤如下( 1)选择合适的采样周期。选取采样对象的周期的 1/10 以下,用Tmin 表示。( 2)用上述的 Tmin,仅让控制器作纯比例控制,逐渐增大比例系数Kp,直至使系统出现等幅振荡,记下此时的比例系数 Kr 和振荡周期 Tr。( 3)选择控制度。控制度为数字控制系统误差平方的积分与对应的模拟控制系统误差平方的积分之比。对于数字系统可用计算机计算。通常,当控制度为 1.05 时,就认为数字控制与模拟控制效果相同。当控制度为 2 时,数字控制比模拟控制的质量差一倍。( 4)选择控制长后,按下表求得周期 T、比例系数 Kp,积分时间常数 Ti 。( 5)按求得的参数运行,在运行中观察控制效果,用试凑法进一步寻求更为满意的数值。扩充临界比例法整定计算公式表如表 1 所示。表中同时给出了模拟方法和临界比例度法的相应参数,供参考。21 3.4. 系统整体软件本系统软件按照模块化设计思想编写, 用主程序来调用模块化的中断子程序。主程序用于调用初始化程序、中断子程序等,程序框图见图 4(功率保护及故障关断程序框图省略) 。图 3-6 软件设计流程图22 第四章仿真与实验结果对比本电源主电路设计包括整流电路、 Buck 降压电路以及全桥逆变电路,其仿真模型参照图 1,仿真采用软件为 OrCAD10.5 。为了仿真的真实性, 在输入电压 220V/50Hz 中加入了 2 次, 3 次和5 次谐波。图 1 中仿真的输入电压信号中包括了加入的几次谐波。要求输出电压为 0~ 120V 的交流电压,则全桥逆变电路输入电压最大为 170V, 考虑 20%的裕量, 即为 Buck 电路最大输出电压为 204V。Buck 电路输入电压为整流桥的输出电压,为 V S ≈ 311V。则仿真中Buck 电路开关最大占空比 D max 为D max= V o /V s 204V/311V ≈ 0.65 逆变桥电路控制信号的产生 正弦信号与三角波信号在电压比较器中进行比较, 产生正负高低不同的脉冲电平, 输入逻辑电平比较器中(输入电平大于 0 时产生 20V 电平,输入电平小于 0 时产生 0 电平) ,逻辑电平比较器的输出作为全桥逆变电路开关的驱动信号。该全桥逆变电路采用单极性 SPWM 控制方式,所以仿真中用到两路正弦信号和输出逻辑电平比较器。 两个逻辑电平比较器产生互补的正弦脉冲信号。图 5 为仿真得到负载端的正弦信号波形。经 C8051F120 产生 SPWM 控制信号控制全桥逆变电路,在电源输出端得到的电压波形如图 6 所示。 由仿真结果以及实验结果的比较可以看出, 硬件实验电路产生了理想的正弦波电压, 实验结果表明,设计的电路工作稳定,输出波形平滑,具有良好的正弦度。实验23 中调压效果良好,与传统的模拟方式相比,该设计在精度、体积以及重量上有着明显的优势。图 4-1 仿真输出的电压波形图 4-2 输出空载电压波形24 第五章结论随着电力电子技术的发展, 它的应用领域日益广泛, 其中在电源领域取得了长足的发展, 现代开关稳压电源己经广泛应用于基础直流电源及交流电源等。 随着科学技术的发展, 对电源技术的要求越来越高,规格品种也越来越多。 在铁路、冶金等行业的一些大功率非线性用电设备运行时, 将给电网注入大量的谐波, 导致电源电压波形畸变,这样的供电电压波形对于一般的电力用户是无法接受的, 更不用说随作为检修、 测试的电源。 本文所设计的逆变电源正是根据现实需求所提出的要求而设计的(在上述背景下)。在论文中对此逆变电源的主电路拓扑、 工作原理和控制方法给出了详细的分析, 对一些设计参数的计算公式从理论上给出了推导过程。通过计算机就一输入为正弦 220V/ 50Hz(含有 2 次、 3 次、 5 次及7 次谐波)、方波 311V/ 50Hz 的电路进行了仿真。设计并实验了输出电压为正弦 220V/ 50Hz 的电路。 仿真及实验结果验证了理论分析的正确性和主电路拓扑方案的可行性。利用 C8051单片机产生 SPWM波形是一种简单易行的方法,具有运算精度高、实时调节性强的特点。同时,通过改变 SPWM波脉宽计算的方法,可以方便地实现双极性 SPWM波形。这种方法适合于对输出 SPWM波形的极性、路数和频率有不同要求的场合。实验表明,本文所设计的逆变电源是切实可行的,可以用在铁路、 冶金等大功率非线性用电设备附近, 作为对电网输入电压要求较25 高的一类负载(如检修、测试设备)的电源。参考文献 [1] 李自成等 . 基于 87C196MC形成 SPWM波的研究 [J] . 高电压技术,2004( 12) 10-12 [2] 卢慧芬 . 基于 DSP的 SPWM控制波形生成的一种方法 [J] . 机电工程, 2002( 5) 30-34 [3] 李刚 , 林凌 . 与 8051 兼容的高性能、高速单片机 C8051Fxxx. 北京 北京航空航天大学出版社 ,2002

注意事项

本文(计算机控制课程设计)为本站会员(索比杜金泽)主动上传,solarbe文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知solarbe文库(发送邮件至794246679@qq.com或直接QQ联系客服),我们立即给予删除!

温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。

copyright@ 2008-2013 solarbe文库网站版权所有
经营许可证编号:京ICP备10028102号-1

1
收起
展开